La recherche a retourné 2 résultats

par franck
26 janv. 2006 22:45
Forum : Electronique numérique et logique programmable
Sujet : simulation sur projet navigator
Réponses : 0
Vues : 2051

simulation sur projet navigator

salut à tous . Comme je l'ai dit la dernière fois je suis un amateur en vhdl et j'ai une envie celle de la numérisation du filtre de kalman. Actuellement je suis coincé au niveau de la simulation sur projet navigator car un des intérêts de mon travail est de pouvoir générer la netlist. Ainsi donc, j...
par franck
26 janv. 2006 22:25
Forum : Electronique numérique et logique programmable
Sujet : Division en VHDL
Réponses : 4
Vues : 5327

division en vhdl

salut serom Tout comme toi je suis un débutant dans le vhdl et en ce qui concerne le code source d'un diviseur j'espère que celui là resoudra ton problème library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.all; entity div8 is port(clk:in std_logic; n2:in unsigned(7 downto ...