Circuit simple avec FPGA

Electronique numérique / Circuits logiques programmables EPLD, CPLD, FPGA d'Altera ou de Xilinx VHDL, Verilog ou SystemC

Modérateur : Modérateur

Avatar de l’utilisateur
Zera
REGULIER
REGULIER
Messages : 132
Inscription : 18 avr. 2006 13:03
Localisation : France - Alsace
Contact :

Message par Zera »

A priori, il peut aussi y avoir des circuits sympa ici : http://www.fpga4fun.com/, qui renvoie sur http://www.knjn.com/ (je n'ai rien acheté, juste vu un jour)

Pour avoir utilisé un CPLD EPLD d'Altera je peux en témoigner, c'est simple à mettre en œuvre pour débuter. Par contre, c'est limité ... (64 blocs en PLCC 44 je crois)

abidimstei
NOUVEAU
NOUVEAU
Messages : 4
Inscription : 16 déc. 2007 3:12
Contact :

Carte FPGA

Message par abidimstei »

il y a un projet fin d'étude à télécharger sur http://www.technologuepro.com à base de FPGA d'altera de la famille FLEX8000, tu trouvera le schéma de la carte ainsi que le programme en VHDL.

Répondre