Circuit simple avec FPGA

Electronique numérique / Circuits logiques programmables EPLD, CPLD, FPGA d'Altera ou de Xilinx VHDL, Verilog ou SystemC

Modérateur : Modérateur

hatou
NOUVEAU
NOUVEAU
Messages : 5
Inscription : 04 août 2006 5:55

Circuit simple avec FPGA

Message par hatou »

salut,
je suis débutant dans le domaine de vhdl,
pour cela je veux construire mon premier circuit avec un FGPA pour tester.
mais j'ai pas trouver un circuit simple qui utilise les FPGA.

Est ce quelqu'un a construit sa propre carte ?

Benjamin
ACCRO
ACCRO
Messages : 314
Inscription : 11 sept. 2005 23:43
Localisation : Belgique
Contact :

Message par Benjamin »

Salut,

Les FPGA sont souvent des composants assez importants dans des boitiers pas évident à souder ni facile a implémenter dans un circuit que l'on grave soit même...

Y'a des kit de développement chez Xilinx ou Altera... ainsi que des logiciel de développement avec des simulateurs... Les prix commence vers 200€ et monte tres vite

A++
.: http://www.roboticus.org :. Control your own world !

hatou
NOUVEAU
NOUVEAU
Messages : 5
Inscription : 04 août 2006 5:55

Message par hatou »

Benjamin a écrit :Salut,

Y'a des kit de développement chez Xilinx ou Altera... ainsi que des logiciel de développement avec des simulateurs... Les prix commence vers 200€ et monte tres vite

A++
c'est pour ca, et ces rentable de construire une carte personnelle simple que de payer plus que 300€ pour l'acheter(prix d'importation .... )

donc si quelqu'un a une carte simple, merci

Benjamin
ACCRO
ACCRO
Messages : 314
Inscription : 11 sept. 2005 23:43
Localisation : Belgique
Contact :

Message par Benjamin »

et ces rentable de construire une carte personnelle simple que de payer plus que 300€ pour l'acheter
Si tu as du matériel simple chez toi non, car les frais que tu vas devoir dépenser pour faire graver le pcb et monter le fpga dessus, tu vas très vite arriver au 300€... D'autant que tu ajoutes le nombre d'heure de travail, ainsi que toute les fonctions (comme le programmateur, les différents circuits annexe et .... ) que tu as sur la carte à 300€ que tu ne trouveras pas sur ton petit circuit...

Franchement, achete un circuit tout fait sur le marché, tu auras beaucoup moins de probleme et le payera beaucoup moins cher...
.: http://www.roboticus.org :. Control your own world !

Avatar de l’utilisateur
KnightsOfTheRound
REGULIER
REGULIER
Messages : 126
Inscription : 13 avr. 2006 8:56
Localisation : Paris

Message par KnightsOfTheRound »

Salut,

Tu peux aussi passer par des CPLDs, qui sont plus petites et plus faciles a mettre en oeuvre.

Actuellement Xilinx vend une carte pour 24.95$

Le lien

J.H.

JP
Administrateur
Administrateur
Messages : 2323
Inscription : 23 sept. 2003 18:14
Localisation : Strasbourg
Contact :

Message par JP »

Salut,

Si c'est juste pour découvrir, il ya un shema d'un programmateur pour EPLD d'altera sur le forum. Les EPLD sont en boitiers PLCC donc facilement soudables. Apres pour le reste de la carte, tu mets ce que tu veux au tour, bouton poussoir, 7 seg, LCD etc, à toi de voir.

Si tu veux vraiment t'y mettre, alors je dis aussi que le mieux c'est d'investire dans une carte FPGA déjà faite.

a+
JP
Ca clignote !!!!

Gege
HABITUE
HABITUE
Messages : 42
Inscription : 05 juil. 2006 22:47
Localisation : Montpellier

Message par Gege »

Pour pas trop cher (moins de 80€) il y a moyen d'avoir un spartan 3E (500,000 gates) chez SparkFun

Avatar de l’utilisateur
KnightsOfTheRound
REGULIER
REGULIER
Messages : 126
Inscription : 13 avr. 2006 8:56
Localisation : Paris

Message par KnightsOfTheRound »

Bonjour,

Le probleme avec Sparkfun c'est qu'il faut rajouter beaucoup en taxe et en frais de port. Sans compté sur les taxes a l'importation. :?

Certes au prix actuel du dollard ca vaut le cout. :)

Rahff

Message par Rahff »

Je te conseille la série EPM7128SLC84 de ALTERA qui sont programmable en AHDL et VHDL. Pour te faire la carte de developpement je te recommande ce site : http://www.positron-libre.com/electroni ... e-7128.htm

Tout est expliqué et les typons prets a etre imprimé. Il te reste plus qu'à faire de l'inginérie inverse pour comprendre le montage.

Je suis actuellement étudiant en DUT GEII et c'est comme ça que j'ai procédé.

totofweb
HABITUE
HABITUE
Messages : 51
Inscription : 07 janv. 2006 12:16
Localisation : Le Mans
Contact :

Message par totofweb »

Le mieux est d'acheter une petite carte de dev (il y en a avec des prix honnêtes chez Digilent). Sans ça, au vu de la complexité du système :
- soit tu vas débourser une fortune pour avoir une qualité correcte
- soit tu passeras ton temps à te demander si c'est ton code VHDL ou ta carte qui a un problème

Par exemple la Basys est très abordable : http://www.digilentinc.com/Products/Det ... ogrammable
Par contre elle n'a pas de RAM intégrée, et pas énormément de périphériques non plus (mais ça peut suffire selon les cas). Mais selon tes besoins/envies, tu peux jeter un oeil aux autres cartes qu'ils proposent.

A noter que ce site (Digilent) fournit avec les cartes de dev une petite note qui explique très bien (bien que sommairement) comment exploiter les différents périphériques et faire des choses intéressantes. Voici par exemple celle de la Basys : http://www.digilentinc.com/Data/Product ... S_E_RM.pdf

Digilent fournit aussi des codes d'exemple en vhdl pour ces utilisations typiques (vga, etc...). Voici celui de la Basys : http://www.digilentinc.com/Data/Product ... E_bist.zip

Là je te donne l'exemple de la Basys, mais tu peux aussi voir la Nexys-2 (avec RAM) ou la Spartan-3, ou même aller voir chez un autre fournisseur si l'herbe y est plus verte.

PS : Je n'ai aucune action chez Digilent, ni même chez Xilinx.
Totofweb :P

Répondre