Vos méthodes pour faire un anti-rebonds logiciel

Electronique numérique / Circuits logiques programmables EPLD, CPLD, FPGA d'Altera ou de Xilinx VHDL, Verilog ou SystemC

Modérateur : Modérateur

Comment vous faites ?

Kékidi ? Ca existe les anti-rebonds logiciel ?
0
Aucun vote
Logiciel ?! Rien de mieux qu'un bon RC !
3
27%
Je déclenche une interruption sur un changement d'état et je bloque l'interruption pendant X ms
2
18%
Je fais du pooling, si j'ai 2 fois le même état à X ms d'écart, c'est que ce n'est pas un rebond
4
36%
Autre, merci de préciser
2
18%
 
Nombre total de votes : 11

JP
Administrateur
Administrateur
Messages : 2323
Inscription : 23 sept. 2003 18:14
Localisation : Strasbourg
Contact :

Vos méthodes pour faire un anti-rebonds logiciel

Message par JP »

Salut,

Alors comment vous faites vous ? :D
Des exemples de code ?

PS: c'était pour tester les sondages sur le nouveau forum :P
Ca clignote !!!!

Répondre