Probleme de simulation Xilinx ISE

Electronique numérique / Circuits logiques programmables EPLD, CPLD, FPGA d'Altera ou de Xilinx VHDL, Verilog ou SystemC

Modérateur : Modérateur

packman
NOUVEAU
NOUVEAU
Messages : 1
Inscription : 03 juin 2009 8:43

Probleme de simulation Xilinx ISE

Message par packman »

Bonjour à tous!

Voilà mon problème :
Je suis entrain de travailler sur le logiciel de Xilinx pour mon stage de fin de DUT. Seulement, j'ai un problème lors de la simulation, quand il y a des bus.

Image

à quoi correspondents les "u" en rouge ? et quel est le problème ?
PS : Le système est censé être un additionneur binaire sur 25 bits.

FranzFortney

Re: Probleme de simulation Xilinx ISE

Message par FranzFortney »

Merci beaucoup pour l'information.

Simulation pret

Répondre