commande moteur pas a pas

Electronique numérique / Circuits logiques programmables EPLD, CPLD, FPGA d'Altera ou de Xilinx VHDL, Verilog ou SystemC

Modérateur : Modérateur

nounougomaz2009

commande moteur pas a pas

Message par nounougomaz2009 »

Bonjour,

Je suis débutante en électronique et je n'ai pas encore programmé de PIC,
Je dois réaliser la commande des deux moteur pas à pas par une interface relie avec le PC.
Mon moteur pas à pas aux caractéristiques suivantes:
- 48 pas/tour
J'ai utilisé le L297 et L298 pour faire la commande de mon moteur. Et pour la liaison au PC, je dois utiliser une liaison série DB9. J'ai donc opté pour un MAX232.
Pour faire cette liaison, j'ai utilisé:
- PIC 16f877 (code C)
- max233
- COM1 (DB9)
Mon problème est maintenant la programmation du PIC pour faire fonctionner mon moteur, surtout comment ça se programme pour un PIC en code C ?

Je vous remercie d'avance pour l'aide que vous pourrez m’apporter, si vous avez des exemples de codes, ils sont évidemment les bienvenus. MERCI !

Répondre