division avec VHDL
Publié : 25 mars 2007 22:03
salut svp qq m'aide pour ce mini probleme,
je vais faire la simple division entre deux nombres :
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity arrondi is
Port ( clk : in STD_LOGIC;
a : in bit_vector (2 downto 0);
b : in bit_vector (2 downto 0);
rar : out STD_LOGIC_VECTOR (2 downto 0));
end arrondi;
architecture Behavioral of arrondi is
begin
rar <= a / b; ===> INCORRECT
end Behavioral;
svp qq m'indique la faute
et merci
je vais faire la simple division entre deux nombres :
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity arrondi is
Port ( clk : in STD_LOGIC;
a : in bit_vector (2 downto 0);
b : in bit_vector (2 downto 0);
rar : out STD_LOGIC_VECTOR (2 downto 0));
end arrondi;
architecture Behavioral of arrondi is
begin
rar <= a / b; ===> INCORRECT
end Behavioral;
svp qq m'indique la faute
et merci